チュートリアル

XDCファイル作成、論理合成とビットファイル作成

3. XDCファイル作成、論理合成とビットファイル作成

 

XDCファイル作成

 

次に出力ピンの設定のためXDCファイルを追加します。
Vivado画面左側のFlow Navigator -> Add Sources をクリックして、
以下の画面からAdd or create constraints を選択し、Next ボタンを押してください。

4-1

 

Create Fileボタンから、File name を te0726 としてファイルを作成します。

 

4-2

 

Finishを押します。

 

4-3

Flow Navigatorをクリックし、Sources 画面の Constraints -> constrs_1 -> te0726.xdc を
ダブルクリックして開き以下のように編集します。

 

 

set_property IOSTANDARD LVCMOS33 [get_ports led_op]
set_property PACKAGE_PIN H11 [get_ports led_op]

 

 

 

 

5-1

 

 

 

今回利用するピンは H11 でこれは回路図ではGPIO18に当たります。
GPIO18はIOピンの12番に当たります。
IOの割り当てについては回路図の以下の部分などを参考にしてください。
また、hdmi_fbのリファレンスデザインには参考となるxdcファイルがあります。

 

 

4-4

 

これでXDCファイルの編集は終わりです。

 

 

論理合成とビットファイル作成

 

 

 

論理合成を行います。

 

これはVivado画面左の Flow Navigator -> Generate Bitstream をクリックするだけです。

作業はバックグラウンドで行われますが画面右上に進捗状況が表示されます。

5-1

 

Warning が表示されますが気にせず OK を押します。

 

5-2

 

これで論理合成およびビットファイル作成は終了です。